Systemverilog Free Course: Udemy: VLSI Verification Courses: SV Beginner 1: Start with TB  Construct

Systemverilog Free Course: Udemy: VLSI Verification Courses: SV Beginner 1: Start with TB Construct

Systemverilog Academy

4 года назад

66,936 Просмотров

Ссылки и html тэги не поддерживаются


Комментарии:

@paidijahnavi
@paidijahnavi - 07.05.2023 19:42

I am really thankful for all your efforts for us
Thank you so much
Your explanation is very clear and the pdf support is really good 😊

Ответить
@kaveri9135
@kaveri9135 - 26.09.2022 16:02

Really useful sir.thank you 🙏

Ответить
@vaibhavkumar3873
@vaibhavkumar3873 - 30.06.2022 15:36

Did u remove 10 hours video on sv?? pls reply

Ответить
@Aramich101
@Aramich101 - 19.02.2022 04:35

great video! can i have the slides?

Ответить
@SandeepYadav-xv8dv
@SandeepYadav-xv8dv - 20.01.2022 21:38

hi, bro thanks for posting videos ,actually you giving a hope to enter in vlsi verification industry...but very much help full for me

Ответить
@vamsisyoutube928
@vamsisyoutube928 - 16.12.2021 19:52

I want to know whether you posted full content of system verilog or not . Do we need to join in the channel inorder to access system verilog content and UVM content ,can you clarify ??

Ответить
@prashanthhegde9586
@prashanthhegde9586 - 04.07.2021 08:18

Hi,

Great video helped me a lot,
U mentioned u will add downloadable resources, Iam not able to find that in description.
Can u check it once and share the material, it will be great help.

Thanks.

Ответить
@krishnababu4670
@krishnababu4670 - 07.05.2021 14:49

If we join for this channel with payment, what are the benefits we are going to get please 🤝

Ответить
@krishnababu4670
@krishnababu4670 - 07.05.2021 14:47

Hi,
really you shared worthless knowledge, how can I communicate to you for some thing to discuss regarding systemverilog and UVM verification, running and debugging,...it will be great help for us if you access to communicate to you, waiting for your reply 💐😊

Ответить
@ramyadusanapudi3807
@ramyadusanapudi3807 - 22.04.2021 12:34

Hi to join the academy is gpay option possible

Ответить
@gtcontent3069
@gtcontent3069 - 26.01.2021 20:48

Super brother good Explaination. Thanks brother

Ответить
@vaibhavgupta7608
@vaibhavgupta7608 - 10.10.2020 11:59

Hi,
Thanks for putting these videos here. The language used is easily understandable.
Please add more videos on UVM, it would be helpful for all of us. Thanks again

Ответить
@niketramani285
@niketramani285 - 11.08.2020 03:12

this is a very good course.. is it possible to share a few actual testbench examples where all these concepts so that we can get to learn more. Thanks

Ответить
@shubhamsingh-me6hw
@shubhamsingh-me6hw - 09.07.2020 07:06

sir please make a video on memory protocol

Ответить
@praveenkumarnaidu3475
@praveenkumarnaidu3475 - 04.03.2020 10:13

Sir are you going to post all systemverilog videos?

Ответить